Power and Ground Bounce Reduction Techniques for Nanoscale VLSI Systems

M. Kavitha*
Department of Electronics and Communication Engineering, Government College of Engineering, Bargur, Tamilnadu, India.
Periodicity:July - December'2021
DOI : https://doi.org/10.26634/jcir.9.2.18473

Abstract

In the field of nanotechnology, leakage noise and ground reflections have become important considerations in the design of VLSI circuits. Power leakage is a serious problem, especially in handheld and portable battery powered devices, due to critical battery life. Ground bounce can cause false transactions, resulting in incorrect values in digital circuits. In nanoscale VLSIs, the problem of reducing leakage and ground bounce needs to be addressed in order to improve circuit performance. In this paper, two power gating techniques to minimize leakage and ground bounce are proposed. Leakage power and ground bounce noise are estimated for ISCAS'85 benchmark circuits and simulation results reveal that the proposed techniques mitigate maximum of 79% leakage power and 60% ground bounce than conventional techniques.

Keywords

Ground Bounce, ISCAS Benchmark Circuits, Leakage Power, Power Gating.

How to Cite this Article?

Kavitha, M. (2021). Power and Ground Bounce Reduction Techniques for Nanoscale VLSI Systems. i-manager's Journal on Circuits and Systems, 9(2), 1-8. https://doi.org/10.26634/jcir.9.2.18473

References

[1]. Agarwal, K., Deogun, H., Sylvester, D., & Nowka, K. (2006, March). Power gating with multiple sleep modes. In 7th International Symposium on Quality Electronic Design (ISQED'06) (pp. 5-pp). IEEE. https://doi.org/10.1109/ISQED. 2006.102
[2]. Hansen, M. C., Yalcin, H., & Hayes, J. P. (1999). Unveiling the ISCAS-85 benchmarks: A case study in reverse engineering. IEEE Design & Test of Computers, 16(3), 72-80. https://doi.org/10.1109/54.785838
[3]. Jiao, H. (2012). Noise mitigation in low leakage MTCMOS circuits (Doctoral dissertation). The Hong Kong University of Science and Technology, Hong Kong.
[4]. Khaled, P., Xu, J., & Chowdhury, M. H. (2007, August). Dual diode-Vth reduced power gating structure for better leakage reduction. In 2007, 50th Midwest Symposium on Circuits and Systems (pp. 1409-1412). IEEE. https://doi.org/ 10.1109/MWSCAS.2007.4488810
[5]. Kim, S., Kosonocky, S. V., & Knebel, D. R. (2003, August). Understanding and minimizing ground bounce during mode transition of power gating structures. In Proceedings of the 2003 International Symposium on Low power Electronics and Design (pp. 22-25). https://doi.org/10.11 45/871506.871515
[6]. Kim, S., Kosonocky, S. V., Knebel, D. R., Stawiasz, K., & Papaefthymiou, M. C. (2007). A multi-mode power gating structure for low-voltage deep-submicron CMOS ICs. IEEE Transactions on Circuits and Systems II: Express Briefs, 54(7), 586-590. https://doi.org/10.1109/TCSII.2007.894428
[7]. Liu, Z., & Kursun, V. (2007, August). Low energy MTCMOS with sleep transistor charge recycling. In 2007, 50th Midwest Symposium on Circuits and Systems (pp. 891-894). IEEE. https://doi.org/10.1109/MWSCAS.2007.4488714
[8]. Lorenzo, R., & Chaudhary, S. (2013, December). A novel all NMOS leakage feedback with data retention technique. In 2013, International Conference on Control, Automation, Robotics and Embedded Systems (CARE) (pp. 1-5). IEEE. https://doi.org/10.1109/CARE.2013.6733701
[9]. Mutoh, S. I., Douseki, T., Matsuya, Y., Aoki, T., Shigematsu, S., & Yamada, J. (1995). 1-V power supply high-speed digital circuit technology with multithresholdvoltage CMOS. IEEE Journal of Solid-state circuits, 30(8), 847-854. https://doi.org/10.1109/4.400426
[10]. Narendra, S., De, V., Antoniadis, D., Chandrakasan, A., & Borkar, S. (2001, August). Scaling of stack effect and its application for leakage reduction. In Proceedings of the 2001 International Symposium on Low Power Electronics and Design (pp. 195-200). https://doi.org/10.1145/3830 82.383132
[11]. Pakbaznia, E., & Pedram, M. (2011). Design of a trimodal multi-threshold CMOS switch with application to data retentive power gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(2), 380-385. https://doi.org/10.1109/TVLSI.2010.2102054
[12]. Roy, K., & Prasad, S. C. (2009). Low-power CMOS VLSI Circuit Design. John Wiley & Sons.
[13]. Tada, A., Notani, H., & Numa, M. (2006). A novel power gating scheme with charge recycling. IEICE Electronics Express, 3(12), 281-286. https://doi.org/10.15 87/elex.3.281
[14]. Taur, Y. (2002). CMOS design near the limit of scaling. IBM Journal of Research and Development, 46(2.3), 213- 222.
[15]. Xu, J. (2008). Perform the SPICE simulation of ISCAS85 benchmark circuits for research. Electrical and Computer Engineering, University of Illinois at Chicago.
[16]. Yang, S., Wolf, W., Vijaykrishnan, N., Xie, Y., & Wang, W. (2005, January). Accurate stacking effect macro modeling of leakage power in sub-100 nm circuits. In 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design (pp. 165-170). IEEE. https://doi.org/10.1109/ICVD.2005.41
[17]. Zhao, W., & Cao, Y. (2006). New generation of predictive technology model for sub-45 nm early design exploration. IEEE Transactions on Electron Devices, 53(11), 2816-2823. https://doi.org/10.1109/TED.2006.884077
If you have access to this article please login to view the article or kindly login to purchase the article

Purchase Instant Access

Single Article

North Americas,UK,
Middle East,Europe
India Rest of world
USD EUR INR USD-ROW
Pdf 35 35 200 20
Online 35 35 200 15
Pdf & Online 35 35 400 25

Options for accessing this content:
  • If you would like institutional access to this content, please recommend the title to your librarian.
    Library Recommendation Form
  • If you already have i-manager's user account: Login above and proceed to purchase the article.
  • New Users: Please register, then proceed to purchase the article.